Picture

Questions?

+1-866-353-3335

SEARCH
What are you looking for?
Need help finding what you are looking for? Contact Us
Compare

PUBLISHER: Information Network | PRODUCT CODE: 1266869

Cover Image

PUBLISHER: Information Network | PRODUCT CODE: 1266869

CMP Equipment and Consumables: Market Analysis and Forecasts

PUBLISHED:
PAGES:
DELIVERY TIME: 2-3 business days
SELECT AN OPTION
PDF by E-mail
USD 4995

Add to Cart

Chemical mechanical planarization (CMP) is a critical production step during microcircuit manufacturing. The smaller the electronic components the more sophisticated the CMP process. The customer goal is to have flat, smooth, polished wafers.

CMP is an essential technology used for local and global planarization of dielectric interlayers, polishing copper damascene architectures, tungsten vias, low-k dielectric films, and shallow trench isolation. The ever-increasing list of semiconductor devices and scaling demands necessitates a wide range of materials to be polished concurrently or sequentially, which increases the complexity of CMP and presents a continual need to optimize process design and control.

CMP pads and slurry are used in the process of chemically and mechanically planing wafer surfaces, with semiconductor wafers typically having multiple layers deposited one atop another. When layers are put down, they must be polished flat before adding the next layer of circuit elements (since more information can be packed onto a flat chip). This is enabled by the CMP process that utilizes a CMP pad and slurry-pads are made of resin and placed on a rotating platen. CMP slurry refers to a chemical dispensed between pad and wafer in this process.

This report examines and projects the technologies involved in the chemical mechanical planarization of semiconductor layers. This report discusses the technology trends, products, applications, and suppliers of materials and equipment. A market forecast for CMP equipment and materials is presented.

Table of Contents

Chapter 1. Introduction

Chapter 2. Executive Summary

  • 2.1. Introduction
  • 2.2. Market Opportunities

Chapter 3. Planarization Methods

  • 3.1. Need for Planarity
    • 3.1.1. Lithography
    • 3.1.2. Deposition
    • 3.1.3. Etching
  • 3.2. Applications
    • 3.2.1. Dielectrics
    • 3.2.2. Metals
  • 3.3. Planarization Techniques
    • 3.3.1. Local Planarization
      • 3.3.1.1 Deposition-Etchback
      • 3.3.1.2 ECR
      • 3.3.1.3 Oxide Reflow
      • 3.3.1.4 Spin-on-Glass
      • 3.3.1.5 TEOS-Ozone
      • 3.3.1.6 Laser
    • 3.3.2. Global Planarization
      • 3.3.2.1 Spin-On Polymer
      • 3.3.2.2 Polyimide Coating
      • 3.3.2.3 Isotropic Etch
      • 3.3.2.4 Spin Etch Planarization
      • 3.3.2.5 Electropolishing
  • 3.4. CMP
    • 3.4.1. Background
    • 3.4.2. Research Efforts
    • 3.4.3. Advantages and Disadvantages
    • 3.4.4. Process Parameters
      • 3.4.4.1 STI Planarization
      • 3.4.4.2 Copper CMP
      • 3.4.4.3 Low-K Integration
      • 3.4.4.4 Defect Density
      • 3.4.4.5 Metrology
    • 3.4.5. Device Processing Parameters
      • 3.4.5.1 Memory Devices
      • 3.4.5.2 Logic Devices

Chapter 4. CMP Consumables

  • 4.1. Slurries
    • 4.1.1. Types
    • 4.1.2. pH Effects
    • 4.1.3. Oxidizers
    • 4.1.4. Particle Morphology Effects
    • 4.1.5. Chemical Distribution Management
    • 4.1.6. Slurry Supplier Profiles
    • 4.1.7. Abrasive Suppliers
  • 4.2. Post-CMP Clean
  • 4.3. Polishing Pads
    • 4.3.1. Types
    • 4.3.2. Performance
    • 4.3.3. Slurryless Pads

Chapter 5. CMP Equipment

  • 5.1. Single-Head Approach
    • 5.1.1. Advantages
    • 5.1.2. Disadvantages
  • 5.2. Multi-Head Approach
    • 5.2.1. Advantages
    • 5.2.2. Disadvantages
  • 5.3. Equipment Profiles
    • 5.3.1. Applied Materials
    • 5.3.2. Ebara
    • 5.3.3. KC Tech
    • 5.3.4. Accretech
    • 5.3.5. Revasum

Chapter 6. User Issues

  • 6.1. Cost of Ownership
  • 6.2. User Requirements
  • 6.3. Benchmarking a Vendor
    • 6.3.1. Pricing
    • 6.3.2. Vendor Commitment and Attitudes
    • 6.3.3. Vendor Capabilities
    • 6.3.4. System Capabilities
  • 6.4. User-Supplier Synergy
    • 6.4.1. Feedback During Equipment Evaluation
    • 6.4.2. Feedback During Device Production
  • 6.5. Reliability
  • 6.6. Equipment Maintainability

Chapter 7. Market Forecast

  • 7.1. Introduction
  • 7.2. Market Forecast Assumptions
  • 7.3. Equipment Market
    • 7.3.1. Introduction
    • 7.3.2. CMP Polisher Market
  • 7.4. Consumable Market
    • 7.4.1. Slurry
    • 7.4.2. Pads

LIST OF FIGURES

  • 1.1. Process Integration for CMP
  • 3.1. Levels of Integration of Dynamic Rams
  • 3.2. Planarization Lengths of Various Methods
  • 3.3. Normalized Removal Rates
  • 3.4. Reduced Complexity With Copper
  • 3.5. Copper Loss From CMP
  • 3.6. CMP Copper Process Technologies
  • 3.7. CMP Performance Improvements
  • 3.8. Polish Endpoint Control
  • 3.9. CMP Steps in 2D NAND to 3D NAND Transition
  • 3.10. CMP Steps per Node Transition for Advanced Logic Devices
  • 4.1. Effect of Nitrate Ions on the Cu Removal Rate
  • 4.2. Removal Rate of Ta
  • 4.3. Bulk Chemical Distribution System
  • 4.4.. Through The Brush Chemical Delivery
  • 4.5.. Megasonics Post-CMP Clean
  • 4.6. Micrograph Of 3M Slurryless Pad
  • 6.1. Effect of Tool MTBF on CMP Cost
  • 6.2. Removal Rate Vs Throughput and CMP Cost
  • 7.1. Worldwide CMP Polisher Market
  • 7.2. Worldwide CMP Slurry Market Forecast
  • 7.3. CMP Slurry Market by Application
  • 7.4. ILD Slurry Market Share
  • 7.5. STI Slurry Market Share
  • 7.6. Copper Barrier Slurry Market Share
  • 7.7. Copper Step 1 Slurry Market Share
  • 7.8. Worldwide CMP Pad Market Forecast
  • 7.9. CMP PAD Market Shares

LIST OF TABLES

  • 3.1. Interconnect Levels of Logic Device
  • 3.2. Typical Process Specifications
  • 3.3. Organic Polymers for IMD Applications
  • 3.4. CMP Process Variables
  • 3.5. Optimized CMP and Post-CMP Clean Parameters
  • 3.6. Interconnect Materials by Segment
  • 4.1. CMP Slurry Suppliers
  • 4.2. Abrasive Suppliers and Products
  • 4.3. Oxide CMP Pad Properties and Performance
  • 6.1. Polisher Equipment Targets
  • 6.2. Post-CMP Clean Equipment Targets
  • 7.1. Worldwide CMP Polisher Market Forecast
  • 7.2. Worldwide CMP Polisher Market Shares
  • 7.3. Worldwide CMP Slurry Market Forecast
  • 7.4. Worldwide Slurry Market Shares
  • 7.5. Worldwide CMP Pad Market Forecast
Have a question?
Picture

Jeroen Van Heghe

Manager - EMEA

+32-2-535-7543

Picture

Christine Sirois

Manager - Americas

+1-860-674-8796

Questions? Please give us a call or visit the contact form.
Hi, how can we help?
Contact us!