Picture

Questions?

+1-866-353-3335

SEARCH
What are you looking for?
Need help finding what you are looking for? Contact Us
Compare

PUBLISHER: TECHCET | PRODUCT CODE: 1413683

Cover Image

PUBLISHER: TECHCET | PRODUCT CODE: 1413683

ALD / High K Metal Precursors Market Report (a Critical Materials Report) 2023-2024

PUBLISHED:
PAGES: 276 Pages
DELIVERY TIME: 1-2 business days
SELECT AN OPTION
PDF (Single User License)
USD 8900
PDF (2-User License)
USD 15575
PDF (3-5 User License)
USD 20025

Add to Cart

This report provides market and technical trend information on inorganic gases and liquid CVD/ALD precursors (metal, metal oxide, high K, dielectric and SOD materials). For the last 20 years, there have been many research papers and patents published regarding ALD and CVD precursors specifically for the semiconductor industry. This report includes detail on the development path and roadmaps for new precursors and any current EHS and regulatory hurdles for these materials to enter into high volume manufacturing (HVM). Forecasts are provided on precursors of all types, with a focus is on the leading-edge front end of the line insulating and conductive materials, including high K, metal electrode, interconnect metallization, sacrificial layers, low-k dielectrics, hard masks, mandrel, and etch stop layers. These process areas are of interest because of the high growth potential associated with leading-edge logic <45 nm, 28 nm to 10/7 nm nodes, and the future 5 & 3 nm nodes, as well as advanced DRAM and 3DNAND volatile and non-volatile memories.

This report comes with 3 Quarterly Updates featuring updated market information and forecasting from the report analyst.

Table of Contents

1. Executive Summary

  • 1.1. REGIONAL TRENDS-METAL PRECURSORS
  • 1.2. REGIONAL TRENDS-TOTAL PRECURSOR MARKET
  • 1.3. PRECURSOR MARKET-HISTORICAL AND 5-YEAR FORECAST
  • 1.4. CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2021 TO 2027
  • 1.5. ASSESSMENT-METAL & HIGH-K
  • 1.6. SUPPLY-DEMAND FORECAST-WF6 (UNTIL 2023)
  • 1.7. CVD AND ALD EQUIPMENT MARKET
  • 1.8. ANALYST ASSESSMENT-HI K & METAL PRECURSORS
    • 1.8.2. ANALYST ASSESSMENT

2. Scope, Purpose, and Methodology

  • 2.1. SCOPE
  • 2.2. PURPOSE
  • 2.3. METHODOLOGY
  • 2.4. OVERVIEW OF OTHER TECHCET CMR™ REPORTS

3. Semiconductor Industry Market Status & Outlook

  • 3.1. WORLDWIDE ECONOMY
    • 3.1.1. SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY
    • 3.1.2. SEMICONDUCTOR SALES GROWTH
    • 3.1.3. TAIWAN MONTHLY SALES TRENDS
    • 3.1.4. UNCERTAINTY ABOUNDS ESPECIALLY FOR 2023 -SLOWER TO NEGATIVE SEMICONDUCTOR REVENUE GROWTH EXPECTED
  • 3.2. CHIPS SALES BY ELECTRONIC GOODS SEGMENT
    • 3.2.1. SMARTPHONES
    • 3.2.2. PC UNIT SHIPMENTS
    • 3.2.3. SERVERS / IT MARKET
  • 3.3. SEMICONDUCTOR FABRICATION GROWTH & EXPANSION
    • 3.3.1. FAB EXPANSION ANNOUNCEMENT SUMMARY
    • 3.3.2. WW FAB EXPANSION DRIVING GROWTH
    • 3.3.3. EQUIPMENT SPENDING TRENDS
    • 3.3.4. TECHNOLOGY ROADMAPS
    • 3.3.5. FAB INVESTMENT ASSESSMENT
  • 3.4. POLICY & TRADE TRENDS AND IMPACT
  • 3.5. SEMICONDUCTOR MATERIALS OVERVIEW
    • 3.5.1. COULD MATERIALS CAPACITY LIMIT CHIP PRODUCTION SCHEDULES?
    • 3.5.2. LOGISTICS ISSUES EASED DOWN
    • 3.5.3. TECHCET WAFER STARTS FORECAST THROUGH 2027
    • 3.5.4. TECHCET'S MATERIAL FORECAST

4. Precursor Market Trends

  • 4.1. MARKET TRENDS
    • 4.1.1. MARKET TRENDS-WAFER STARTS
    • 4.1.2. MARKET TRENDS-WAFER STARTS LOGIC
    • 4.1.3. MARKET TRENDS-WAFER STARTS DRAM
    • 4.1.4. MARKET TRENDS-WAFER STARTS NAND
  • 4.2. SUPPLY CAPACITY AND DEMAND, INVESTMENTS
    • 4.2.1. WF6 DEMAND DRIVERS
    • 4.2.2. WF6 MARKET DEMAND
    • 4.2.4. WF6 MARKET DEMAND-MO ALD IP FILING
    • 4.2.5. WF6 MARKET DEMAND
  • 4.3. SUPPLY CAPACITY AND DEMAND, INVESTMENTS
    • 4.3.1. SUPPLY CAPACITY AND DEMAND, INVESTMENTS: HAFNIUM
  • & ZIRCONIUM
  • 4.4. REGIONAL TRENDS-METAL PRECURSORS
    • 4.4.1. REGIONAL TRENDS-METAL PRECURSORS
    • 4.4.2. REGIONAL TRENDS AND DRIVERS
  • 4.5. CVD AND ALD EQUIPMENT MARKET
    • 4.5.1. WFE FORECAST: ALL TYPES
    • 4.5.2. WFE FORECAST: DEPOSITION, ETCH & CLEAN, LITHOGRAPHY, METROLOGY ETC.
  • 4.6. TECHNICAL DRIVERS /MATERIAL CHANGES AND TRANSITIONS BY DEVICE TYPE
    • 4.6.1. GENERAL TREND LAST DECADE GOING FROM PVD & LPCVD TO PECVD & ALD
    • 4.6.2. ADVANCED LOGIC NODE HVM ESTIMATE
    • 4.6.3. DRAM NODE HVM ESTIMATE
    • 4.6.4. 3D NAND NODE HVM ESTIMATE
    • 4.6.5. SUMMARY OF OPPORTUNITIES BY DEVICE SEGMENT
  • 4.7. SEMICONDUCTOR PROCESS & MATERIALS TRENDS
    • 4.7.1. ETCH PROCESS BY DEVICE TYPE-ATOMIC LAYER ETCHING ALE
    • 4.7.2. AREA SELECTIVE DEPOSITION
    • 4.7.3. DIRECTED SELF ASSEMBLY (DSA) AND EUV
    • 4.7.4. DIRECT SELF ASSEMBLY (DSA) AND EUV
    • 4.7.5. 2D TRANSITION METAL DICHALCOGENIDES (TMD)
    • 4.7.6. DRY RESIST FOR EUV
    • 4.7.7. UNDERLAYERS FOR EUV RESIST
    • 4.7.8. OTHER APPLICATIONS-CHAMBER COATINGS BY ALD (Y2O3)
    • 4.7.9. OTHER APPLICATIONS-OPTICS
  • 4.8. EHS AND LOGISTIC ISSUES-ZIRCONIUM AND HAFNIUM
    • 4.8.1. EHS AND LOGISTIC ISSUES-ZIRCONIUM AND HAFNIUM
    • 4.8.2. EHS AND LOGISTIC ISSUES-TITANIUM
    • 4.8.3. EHS AND LOGISTIC ISSUES-TUNGSTEN
    • 4.8.4. EHS AND LOGISTIC ISSUES-TUNGSTEN
    • 4.8.5. EHS AND LOGISTIC ISSUES-COBALT
    • 4.8.6. EHS AND LOGISTIC ISSUES-RUTHENIUM
    • 4.8.7. GREEN HOUSE GASES FROM SEMICONDUCTOR PRODUCTION
    • 4.8.8. EUV AND ENERGY
    • 4.8.9. ASSESSING THE ENVIRONMENTAL IMPACT OF ATOMIC LAYER DEPOSITION (ALD) PROCESSES AND PATHWAYS TO LOWER IT
  • 4.9. CHANGES IN STANDARD PACKAGING/VALVE TYPES
  • 4.10. MARKET ASSESSMENT

5. Segment Market Statistics & Forecasts

  • 5.1. PRECURSOR MARKET-HISTORICAL AND 5-YEAR FORECAST
    • 5.1.1. CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2021 TO 2027
    • 5.1.2. SUPPLY-DEMAND FORECAST-WF6 (UNTIL 2023)
    • 5.1.3. ASSESSMENT-METAL & HIGH-K
  • 5.2. M&A ACTIVITIES
    • 5.2.1. M&A ACTIVITIES-MERCK & MECARO
  • 5.3. NEW PLANTS
    • 5.3.1. NEW PLANTS
    • 5.3.2. NEW PLANTS
    • 5.3.3. NEW PLANTS
    • 5.3.4. NEW PLANTS
  • 5.4. SUPPLIER PLANT CLOSURES-NONE REPORTED
  • 5.5. NEW ENTRANTS-DRY RESIST CONSORTIUM
  • 5.6. PRICING TRENDS
    • 5.6.1. PRICING TRENDS-HAFNIUM

6. Sub Tier Material Supply Chain

  • 6.1. SUB-TIER SUPPLY-CHAIN: INTRODUCTION
    • 6.1.1. SUB-TIER SUPPLY-CHAIN: DISRUPTIONS & CHINA
  • 6.2. LOGISTICS
  • 6.3. SUB-TIER SUPPLY-CHAIN "NEW" ENTRANTS-NONE REPORTED
  • 6.4. SUB-TIER SUPPLY-CHAIN PLANTS UPDATES-NEW-NONE REPORTED
  • 6.5. SUB-TIER SUPPLY-CHAIN TECHCET ANALYST ASSESSMENT

7. Supplier profiles

  • ADEKA CORPORATION
  • AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
  • AZMAX CO., LTD.
  • CITY CHEMICAL LLC
  • DNF CO., LTD.
  • ...and 20+ more

LIST OF FIGURES

  • FIGURE 1: METAL PRECURSORS MARKET SHARES 2022
  • FIGURE 2: TOTAL PRECURSOR MARKETS REGIONAL 2022
  • FIGURE 3: TOTAL PRECURSOR MARKET, M USD
  • FIGURE 4: CVD/ALD METAL & HIGH-K PRECURSORS 2021 TO 2027
  • FIGURE 5: WF6 SUPPLY VS. DEMAND THROUGH 2027
  • FIGURE 6: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION
  • FIGURE 7: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2022)
  • FIGURE 8: WORLDWIDE SEMICONDUCTOR SALES
  • FIGURE 9: TECHCET'S TAIWAN SEMICONDUCTOR INDUSTRY I NDEX (TTSI)*
  • FIGURE 10: 2023 SEMICONDUCTOR INDUSTRY REVENUE GROWTH FORECASTS
  • FIGURE 11: 2022 SEMICONDUCTOR CHIP APPLICATIONS
  • FIGURE 12: MOBILE PHONE SHIPMENTS WW ESTIMATES
  • FIGURE 13: WORLDWIDE PC AND TABLET FORECAST
  • FIGURE 14: ELECTRIFICATION TREND BY WORLD REGION
  • FIGURE 15: SEMICONDUCTOR AUTOMOTIVE PRODUCTION
  • FIGURE 16: TSMC PHOENIX INVESTMENT ESTIMATED WILL BE US $40 B
  • FIGURE 17: CHIP EXPANSIONS 2022-2027 US$366 B
  • FIGURE 18: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD
  • FIGURE 19: GLOBAL TOTAL EQUIPMENT SPENDING BY SEGMENT (US$ B)
  • FIGURE 20: OVERVIEW OF ADVANCED LOGIC DEVICE TECHNOLOGY ROADMAP
  • FIGURE 21: INTEL OHIO PLANT SITE FEB. 2023 AND ARTIST RENDERING (ON BOTTOM)
  • FIGURE 22: EUROPE CHIP EXPANSION UPSIDE
  • FIGURE 23: PORT OF LA
  • FIGURE 24: TECHCET WAFER START FORECAST BY NODE SEGMENTS**
  • FIGURE 25: GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK
  • FIGURE 26: FORECASTS--WAFER STARTS 2021 TO 2027
  • FIGURE 27: FORECASTS--WAFER STARTS LOGIC 300 MM
  • FIGURE 28: FORECASTS--WAFER STARTS DRAM 300 MM
  • FIGURE 29: FORECASTS--WAFER STARTS NAND 300 MM
  • FIGURE 30: 3DNAND MARKET SHARE 2022
  • FIGURE 31: 3DNAND STRUCTURE
  • FIGURE 32: MO PRECURSORS
  • FIGURE 33: PATENT FAMILIES FILED FOR MOLYBDENUM ALD IN THE MEMORY SPACE
  • FIGURE 34: WAFER START FORECAST SHOWING TWO TIMING SCENARIOS WHERE MO COULD BE INTRODUCED
  • FIGURE 35: ZIRCONIUM METAL DEMAND 2021 1.6 MILLION TONNES
  • FIGURE 36: HAFNIUM METAL DEMAND 2021 78 TONNES
  • FIGURE 37: METAL PRECURSORS MARKET SHARES 2022
  • FIGURE 38: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION
  • FIGURE 39: SEMI 2022 SEMICONDUCTOR EQUIPMENT FORECAST
  • FIGURE 40: 2022 TECHINSIGHTS WFE SPENDING (TOP) AND 2022 GARTNER WFE SPENDING PER NODE (BOTTOM)
  • FIGURE 41: 3D DEVICE ARCHITECTURES
  • FIGURE 42: LOGIC TECHNOLOGY NODE ROADMAP FOR LEADING IDMS
  • FIGURE 43: SAMSUNG START 3 NM PILOT RAMP USING GAA-FET TECHNOLOGY JUNE 2022
  • FIGURE 44: IMEC 2022 LOGIC ROADMAP
  • FIGURE 45: SCALING AND LITHOGRAPHY TRENDS--A HIGH COST IN CAPITAL EXPENDITURE, ENERGY AND EMISSIONS
  • FIGURE 46: APPLIED MATERIALS CENTURA PATTERN SHAPING CLUSTER
  • FIGURE 47: DRAM TECHNOLOGY ROADMAP FOR LEADING IDMS
  • FIGURE 48: IP FILING IN THE FIELD OF 3DRAM IS ACCELERATING
  • FIGURE 49: NAND TECHNOLOGY ROADMAP FOR LEADING IDMS
  • FIGURE 50: PATHWAYS FOR CONTINUED 3D NAND SCALING
  • FIGURE 51: 3DNAND STACK TRENDS FROM <100L TO 4 STACKS
  • FIGURE 52: MEMORY STACK CHALLENGES FOR V-NAND
  • FIGURE 53A: FINFET TO GAA TRANSISTOR DIAGRAMS SHOWING SELECTIVE ETCHING IS NEEDED TO ADDRESS DEVICE COMPLEXITY
  • FIGURE 53B: ALE PROCESS CYCLE
  • FIGURE 54: PERIOD TABLE INDICATING CANDIDATES FOR ALE (ISOTROPIC ETCHING)
  • FIGURE 55: APPLICATION OF ALE (ISOTROPIC ETCH)
  • FIGURE 56: ALD AND ALE COMBO PROCESS
  • FIGURE 57: PLASMA AND THERMAL ALE PROCESSES
  • FIGURE 58: LAM ALE PROCESS
  • FIGURE 59: ALD / ALE PROCESS ROADMAP
  • FIGURE 60: ALE PATENT ACTIVITY BY COMPANY THROUGH 2022
  • FIGURE 61: AREA SELECTIVE SIN DEPOSITION BY ALD (AVS ASD2022)
  • FIGURE 62: DSA AND EUS PROCESSES
  • FIGURE 63: RESIST RECTIFICATION WITH DSA
  • FIGURE 64: TEM AND ARTIST RENDERING OF MONOLAYER CHANNEL FORMATION
  • FIGURE 65: EUV LITHOGRAPHY ENABLING GATE STRUCTURES AND PITCH SCALING
  • FIGURE 66: DRY RESIST FOR EUV SEM IMAGE
  • FIGURE 67: SPIN ON CARBON (SOC) DIELECTRIC FOR EUV METAL OXIDE RESISTS PATTERNS AFTER LITHO
  • FIGURE 68: UNDERLAYER (DIELECTRIC) HARDMASKS TRENDS FOR NIGH NA EUV
  • FIGURE 69: SPIN ON PRIMER (SOC) VS. HMDS PRIMER
  • FIGURE 70: Y2O3 ALD VS. SPRAY COATINGS
  • FIGURE 71: GREENHOUSE GAS CONTRIBUTIONS OF CHIP FAB MATERIALS AND EQUIPMENT
  • FIGURE 72: ENVIRONMENTAL IMPACT (GWP) OF VARIOUS PROCESSES AND GASES
  • FIGURE 73: CO2EQ OUTPUT FROM ETCH GASES (SOURCE: IMEC)
  • FIGURE 74: TOTAL EMISSIONS AND ENERGY USE PROJECTION PER LOGIC NODE
  • FIGURE 75: ENVIRONMENTAL IMPACT OF ALD
  • FIGURE 76: SEGMENTATION OF THE AMPOULE FLEET 2020
  • FIGURE 77: TOTAL PRECURSOR MARKET, M USD
  • FIGURE 78: CVD/ALD METAL & HIGH-K PRECURSORS 2021 TO 2027
  • FIGURE 79: WF6 SUPPLY VS. DEMAND THROUGH 2027
  • FIGURE 80: WHAT IS EUV DRY RESIST?
  • FIGURE 81: HAFNIUM METAL SPOT PRICING
  • FIGURE 82: TYPICAL NON-HALIDE LIGANDS USED FOR ALD PRECURSORS
  • FIGURE 83: EXAMPLES OF PRECURSORS SUPPLIED BY SHIP
  • FIGURE 84: OCEAN CONTAINER PRICE INDEX-JULY '20 TO MARCH '23

LIST OF TABLES

  • TABLE 1: DIELECTRIC PRECURSOR REVENUES BY REGION (US$ M)
  • TABLE 2: TOTAL PRECURSOR MARKETS BY REGION (US$ M)
  • TABLE 3: 2017 TO 2027 5-YEAR CAGRS
  • TABLE 4: GLOBAL GDP AND SEMICONDUCTOR REVENUES*
  • TABLE 5: IMF ECONOMIC OUTLOOK*
  • TABLE 6: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES MARKET SPENDING 2022
  • TABLE 7: PRECURSOR USAGE OF LEADING IDMS
  • TABLE 8: DIELECTRIC PRECURSOR MARKET SIZE BY REGION
  • TABLE 9: TOTAL PRECURSOR MARKET SIZE BY REGION
  • TABLE 10: REGIONAL PRECURSOR MARKETS
  • TABLE 12: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR LOGIC DEVICES
  • TABLE 13: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR DRAM
  • TABLE 14: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR 3DNAND
  • TABLE 15: GAS TRENDS AND OPPORTUNITIES BY DEVICE TYPE
  • TABLE 16: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL
  • TABLE 17: PRECURSOR 5-YEAR CAGR COMPARISON
  • TABLE 18: ZIRCONIUM MINING PRODUCTION AND RESERVES
  • TABLE 19: WORLDWIDE TUNGSTEN PRODUCTION AND RESERVES
  • TABLE 20: ZIRCONIUM MINING
Have a question?
Picture

Jeroen Van Heghe

Manager - EMEA

+32-2-535-7543

Picture

Christine Sirois

Manager - Americas

+1-860-674-8796

Questions? Please give us a call or visit the contact form.
Hi, how can we help?
Contact us!