Picture

Questions?

+1-866-353-3335

SEARCH
What are you looking for?
Need help finding what you are looking for? Contact Us
Compare

PUBLISHER: TECHCET | PRODUCT CODE: 1413682

Cover Image

PUBLISHER: TECHCET | PRODUCT CODE: 1413682

Dielectric Precursors Market Report (a Critical Materials Report) 2023-2024

PUBLISHED:
PAGES: 254 Pages
DELIVERY TIME: 1-2 business days
SELECT AN OPTION
PDF (Single User License)
USD 8900
PDF (2-User License)
USD 15575
PDF (3-5 User License)
USD 20025

Add to Cart

This report provides market and technical trend information CVD/ALD dielectric and SOD precursors. For the last 20 years, there have been many research papers and patents published regarding ALD and CVD precursors specifically for the semiconductor industry. This report includes detail on the development path and roadmaps for new precursors and any current EHS and regulatory hurdles for these materials to enter into high volume manufacturing (HVM). Forecasts are provided on precursors of all types, with a focus is on the leading-edge front end of the line insulating and conductive materials, including sacrificial layers, low-k dielectrics, hard masks, mandrel, and etch stop layers. These process areas are of interest because of the high growth potential associated with leading-edge logic <45 nm, 28 nm to 10/7 nm nodes, and the future 5 & 3 nm nodes, as well as advanced DRAM and 3DNAND volatile and non-volatile memories.

This report comes with 3 Quarterly Updates featuring updated market information and forecasting from the report analyst.

TABLE OF CONTENTS

1. EXECUTIVE SUMMARY

  • 1.1. REGIONAL TRENDS-DIELECTRIC PRECURSORS
  • 1.2. PRECURSOR MARKET-HISTORICAL AND 5-YEAR FORECAST
  • 1.3. DIELECTRIC PRECURSOR REVENUE 2021 TO 2027 (M USD)
  • 1.4. TECHNOLOGY TRENDS DIELECTRIC PRECURSORS
  • 1.5. CVD AND ALD EQUIPMENT MARKET
  • 1.6. ANALYST ASSESSMENT-PRECURSORS

2. SCOPE, PURPOSE, AND METHODOLOGY

  • 2.1. SCOPE
  • 2.2. PURPOSE
  • 2.3. METHODOLOGY
  • 2.4. OVERVIEW OF OTHER TECHCET CMR™ REPORTS

3. SEMICONDUCTOR INDUSTRY MARKET STATUS & OUTLOOK

  • 3.1. WORLDWIDE ECONOMY
    • 3.1.1. SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY
    • 3.1.2. SEMICONDUCTOR SALES GROWTH
    • 3.1.3. TAIWAN MONTHLY SALES TRENDS
    • 3.1.4. UNCERTAINTY ABOUNDS ESPECIALLY FOR 2023-SLOWER TO NEGATIVE SEMICONDUCTOR REVENUE GROWTH EXPECTED
  • 3.2. CHIPS SALES BY ELECTRONIC GOODS SEGMENT
    • 3.2.1. SMARTPHONES
    • 3.2.2. PC UNIT SHIPMENTS
    • 3.2.3. SERVERS / IT MARKET
  • 3.3. SEMICONDUCTOR FABRICATION GROWTH & EXPANSION
    • 3.3.1. FAB EXPANSION ANNOUNCEMENT SUMMARY
    • 3.3.2. WW FAB EXPANSION DRIVING GROWTH
    • 3.3.3. EQUIPMENT SPENDING TRENDS
    • 3.3.4. TECHNOLOGY ROADMAPS
    • 3.3.5. FAB INVESTMENT ASSESSMENT
  • 3.4. POLICY & TRADE TRENDS AND IMPACT
  • 3.5. SEMICONDUCTOR MATERIALS OVERVIEW
    • 3.5.1. COULD MATERIALS CAPACITY LIMIT CHIP PRODUCTION SCHEDULES?
    • 3.5.2. LOGISTICS ISSUES EASED DOWN
    • 3.5.3. TECHCET WAFER STARTS FORECAST THROUGH 2027
    • 3.5.4. TECHCET'S MATERIAL FORECAST

4. PRECURSOR MARKET TRENDS

  • 4.1. MARKET TRENDS
    • 4.1.1. MARKET TRENDS-WAFER STARTS
    • 4.1.2. MARKET TRENDS-WAFER STARTS LOGIC
    • 4.1.3. MARKET TRENDS-WAFER STARTS DRAM
    • 4.1.4. MARKET TRENDS-WAFER STARTS NAND
  • 4.2. SUPPLY CAPACITY AND DEMAND, INVESTMENTS
    • 4.2.1. WF6 DEMAND DRIVERS
  • 4.3. SUPPLY CAPACITY AND DEMAND, INVESTMENTS
  • 4.4. REGIONAL TRENDS-DIELECTRIC PRECURSORS
    • 4.4.1. REGIONAL TRENDS AND DRIVERS
  • 4.5. CVD AND ALD EQUIPMENT MARKET
    • 4.5.1. WFE FORECAST: ALL TYPES
    • 4.5.2. WFE FORECAST: DEPOSITION, ETCH & CLEAN, LITHOGRAPHY, METROLOGY ETC.
  • 4.6. TECHNICAL DRIVERS / MATERIAL CHANGES AND TRANSITIONS BY DEVICE TYPE
    • 4.6.1. GENERAL TREND LAST DECADE GOING FROM PVD & LPCVD TO PECVD & ALD
    • 4.6.2. ADVANCED LOGIC NODE HVM ESTIMATE
    • 4.6.3. DRAM NODE HVM ESTIMATE
    • 4.6.4. 3D NAND NODE HVM ESTIMATE
    • 4.6.5. SUMMARY OF OPPORTUNITIES BY DEVICE SEGMENT
  • 4.7. SEMICONDUCTOR PROCESS & MATERIALS TRENDS
    • 4.7.1. ETCH PROCESS BY DEVICE TYPE-ATOMIC LAYER ETCHING ALE
    • 4.7.2. AREA SELECTIVE DEPOSITION
    • 4.7.3. DIRECTED SELF ASSEMBLY (DSA) AND EUV
    • 4.7.4. DIRECT SELF ASSEMBLY (DSA) AND EUV
    • 4.7.5. 2D TRANSITION METAL DICHALCOGENIDES (TMD)
    • 4.7.6. DRY RESIST FOR EUV
    • 4.7.7. UNDERLAYERS FOR EUV RESIST
    • 4.7.8. OTHER APPLICATIONS-OPTICS
  • 4.8. EHS AND LOGISTIC ISSUES
    • 4.8.1. GREEN HOUSE GASES FROM SEMICONDUCTOR PRODUCTION
    • 4.8.2. EUV AND ENERGY
    • 4.8.3. ASSESSING THE ENVIRONMENTAL IMPACT OF ATOMIC LAYER DEPOSITION (ALD) PROCESSES AND PATHWAYS TO LOWER IT
  • 4.9. CHANGES IN STANDARD PACKAGING/VALVE TYPES

5. SEGMENT MARKET STATISTICS AND FORECASTS

  • 5.1. PRECURSOR MARKET-HISTORICAL AND 5-YEAR FORECAST
  • 5.2. DIELECTRIC PRECURSOR REVENUE 2021 TO 2027 (M USD)
    • 5.2.1. ASSESSMENT DIELECTRIC PRECURSORS
  • 5.3. M&A ACTIVITIES
    • 5.3.1. M&A ACTIVITIES-MERCK & MECARO
  • 5.4. NEW PLANTS
  • 5.5. SUPPLIER PLANT CLOSURES-NONE REPORTED
  • 5.6. NEW ENTRANTS-DRY RESIST CONSORTIUM

6. SUB TIER MATERIAL SUPPLY CHAIN

  • 6.1. SUB-TIER SUPPLY-CHAIN: INTRODUCTION
  • 6.2. LOGISTICS
    • 6.2.1. LOGISTICS, CONTINUED
  • 6.3. SUB-TIER SUPPLY-CHAIN "NEW" ENTRANTS-NONE REPORTED
  • 6.4. SUB-TIER SUPPLY-CHAIN PLANTS UPDATES-NEW-NONE REPORTED
  • 6.5. SUB-TIER SUPPLY-CHAIN TECHCET ANALYST ASSESSMENT

7. SUPPLIER PROFILES

  • ADEKA CORPORATION
  • AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
  • AZMAX CO., LTD.
  • CITY CHEMICAL LLC
  • DNF CO., LTD.
  • ...and 20+ more

FIGURES

  • FIGURE 1: HARDMASK, LOW K DIELECTRIC PRECURSORS REGIONAL SHARES 2022
  • FIGURE 2: TOTAL PRECURSOR MARKET, M USD
  • FIGURE 3 DIELECTRIC PRECURSOR MARKET 2021 TO 2027
  • FIGURE 4: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION
  • FIGURE 5: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2022)
  • FIGURE 6: WORLDWIDE SEMICONDUCTOR SALES
  • FIGURE 7: TECHCET'S TAIWAN SEMICONDUCTOR INDUSTRY INDEX (TTSI)*
  • FIGURE 8: 2023 SEMICONDUCTOR INDUSTRY REVENUE GROWTH FORECASTS
  • FIGURE 9: 2022 SEMICONDUCTOR CHIP APPLICATIONS
  • FIGURE 10: MOBILE PHONE SHIPMENTS WW ESTIMATES
  • FIGURE 11: WORLDWIDE PC AND TABLET FORECAST
  • FIGURE 12: ELECTRIFICATION TREND BY WORLD REGION
  • FIGURE 13: SEMICONDUCTOR AUTOMOTIVE PRODUCTION
  • FIGURE 14: TSMC PHOENIX INVESTMENT ESTIMATED WILL BE US $40 B
  • FIGURE 15: CHIP EXPANSIONS 2022-2027 US$366 B
  • FIGURE 16: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD
  • FIGURE 17: GLOBAL TOTAL EQUIPMENT SPENDING BY SEGMENT (US$ B)
  • FIGURE 18: OVERVIEW OF ADVANCED LOGIC DEVICE TECHNOLOGY ROADMAP
  • FIGURE 19: INTEL OHIO PLANT SITE FEB. 2023 AND ARTIST RENDERING (ON BOTTOM)
  • FIGURE 20: EUROPE CHIP EXPANSION UPSIDE
  • FIGURE 21: PORT OF LA
  • FIGURE 22: TECHCET WAFER START FORECAST BY NODE SEGMENTS**
  • FIGURE 23: GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK
  • FIGURE 24: FORECASTS - WAFER STARTS 2021 TO 2027
  • FIGURE 25: FORECASTS - WAFER STARTS LOGIC 300 MM
  • FIGURE 26: FORECASTS - WAFER STARTS DRAM 300 MM
  • FIGURE 27: FORECASTS - WAFER STARTS NAND 300 MM
  • FIGURE 28: 3DNAND MARKET SHARE 2022
  • FIGURE 29: HARDMASK, LOW K DIELECTRIC PRECURSORS REGIONAL SHARES 2022
  • FIGURE 30: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION
  • FIGURE 31: SEMI 2022 SEMICONDUCTOR EQUIPMENT FORECAST
  • FIGURE 32: 2022 TECHINSIGHTS WFE SPENDING (TOP) AND 2022 GARTNER WFE SPENDING PER NODE (BOTTOM)
  • FIGURE 33: 3D DEVICE ARCHITECTURES
  • FIGURE 34: LOGIC TECHNOLOGY NODE ROADMAP FOR LEADING IDMS
  • FIGURE 35: SAMSUNG START 3 NM PILOT RAMP USING GAA-FET TECHNOLOGY JUNE 2022
  • FIGURE 36: IMEC 2022 LOGIC ROADMAP
  • FIGURE 37: SCALING AND LITHOGRAPHY TRENDS - A HIGH COST IN CAPITAL EXPENDITURE, ENERGY AND EMISSIONS
  • FIGURE 38: APPLIED MATERIALS CENTURA PATTERN SHAPING CLUSTER
  • FIGURE 39: DRAM TECHNOLOGY ROADMAP FOR LEADING IDMS
  • FIGURE 40: IP FILING IN THE FIELD OF 3DRAM IS ACCELERATING
  • FIGURE 41: NAND TECHNOLOGY ROADMAP FOR LEADING IDMS
  • FIGURE 42: PATHWAYS FOR CONTINUED 3D NAND SCALING
  • FIGURE 43: 3DNAND STACK TRENDS FROM <100L TO 4 STACKS
  • FIGURE 44: MEMORY STACK CHALLENGES FOR V-NAND
  • FIGURE 45A: FINFET TO GAA TRANSISTOR DIAGRAMS SHOWING SELECTIVE ETCHING IS NEEDED TO ADD RESS DEVICE COMPLEXITY
  • FIGURE 45B: ALE PROCESS CYCLE
  • FIGURE 46: PERIOD TABLE INDICATING CANDIDATES FOR ALE (ISOTROPIC ETCHING)
  • FIGURE 47: APPLICATION OF ALE (ISOTROPIC ETCH)
  • FIGURE 48: ALD AND ALE COMBO PROCESS
  • FIGURE 49: PLASMA AND THERMAL ALE PROCESSES
  • FIGURE 50: LAM ALE PROCESS
  • FIGURE 51: ALD / ALE PROCESS ROADMAP
  • FIGURE 52: ALE PATENT ACTIVITY BY COMPANY THROUGH 2022
  • FIGURE 53: AREA SELECTIVE SIN DEPOSITION BY ALD (AVS ASD2022)
  • FIGURE 54: DSA AND EUS PROCESSES
  • FIGURE 55: RESIST RECTIFICATION WITH DSA
  • FIGURE 56: TEM AND ARTIST RENDERING OF MONOLAYER CHANNEL FORMATION
  • FIGURE 57: EUV LITHOGRAPHY ENABLING GATE STRUCTURES AND PITCH SCALING
  • FIGURE 58: DRY RESIST FOR EUV SEM IMAGE
  • FIGURE 59: SPIN ON CARBON (SOC) DIELECTRIC FOR EUV METAL OXIDE RESISTS PATTERNS AFTER LITHO
  • FIGURE 60: UNDERLAYER (DIELECTRIC) HARDMASKS TRENDS FOR NIGH NA EUV
  • FIGURE 61: SPIN ON PRIMER (SOC) VS. HMDS PRIMER
  • FIGURE 62: GREENHOUSE GAS CONTRIBUTIONS OF CHIP FAB MATERIALS AND EQUIPMENT
  • FIGURE 63: ENVIRONMENTAL IMPACT (GWP) OF VARIOUS PROCESSES AND GASES
  • FIGURE 64: CO2EQ OUTPUT FROM ETCH GASES
  • FIGURE 65: TOTAL EMISSIONS AND ENERGY USE PROJECTION PER LOGIC NODE
  • FIGURE 66: ENVIRONMENTAL IMPACT OF ALD
  • FIGURE 67: SEGMENTATION OF THE AMPOULE FLEET 2020 BASED ON NUMBER OF UNITS IN THE FIELD
  • FIGURE 68: TOTAL PRECURSOR MARKET, M USD
  • FIGURE 69: DIELECTRIC PRECURSOR MARKET 2021 TO 2027
  • FIGURE 70: TEOS
  • FIGURE 71: WHAT IS EUV DRY RESIST?
  • FIGURE 72: TYPICAL NON-HALIDE LIGANDS USED FOR ALD PRECURSORS
  • FIGURE 73: EXAMPLES OF PRECURSORS SUPPLIED BY SHIP
  • FIGURE 74: OCEAN CONTAINER PRICE INDEX - JULY '20 TO MARCH '23

TABLES

  • TABLE 1: DIELECTRIC PRECURSOR REVENUES BY REGION (US$ M)
  • TABLE 2: 2017 TO 2027 5-YEAR CAGRS
  • TABLE 3: GLOBAL GDP AND SEMICONDUCTOR REVENUES*
  • TABLE 4: IMF ECONOMIC OUTLOOK*
  • TABLE 5: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES MARKET SPENDING 2022
  • TABLE 6: DIELECTRIC PRECURSOR MARKET SIZE BY REGION
  • TABLE 7: REGIONAL WAFER MARKETS
  • TABLE 8: REGIONAL PRECURSOR MARKETS
  • TABLE 9: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR LOGIC DEVICES
  • TABLE 10: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR DRAM
  • TABLE 11: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR 3DNAND
  • TABLE 12: GAS TRENDS AND OPPORTUNITIES BY DEVICE TYPE
  • TABLE 13: PRECURSOR 5-YEAR CAGR COMPARISON
Have a question?
Picture

Jeroen Van Heghe

Manager - EMEA

+32-2-535-7543

Picture

Christine Sirois

Manager - Americas

+1-860-674-8796

Questions? Please give us a call or visit the contact form.
Hi, how can we help?
Contact us!