Picture

Questions?

+1-866-353-3335

SEARCH
What are you looking for?
Need help finding what you are looking for? Contact Us
Compare

PUBLISHER: TrendForce | PRODUCT CODE: 1340745

Cover Image

PUBLISHER: TrendForce | PRODUCT CODE: 1340745

An Overview of China's Semiconductor Industry through the Perspective of Wafer Equipment

PUBLISHED:
PAGES: 10 Pages
DELIVERY TIME: 1-2 business days
SELECT AN OPTION
PPT (Corporate License)
USD 2000

Add to Cart

Wafer manufacturing involves the use of various semiconductor equipment that can be categorized into more than ten types, such as photolithography machines, etching equipment, and thin-film deposition equipment (PVD/CVD/ALD). These categories can be further divided based on different working principles or processed materials. The production of these machines involves the integration of diverse scientific techniques, including optics, physics, and chemistry, posing high technical barriers and manufacturing difficulties. These machines are also characterized by their significant worth and high investment in research and development.

Chinese companies engaged in the development of wafer manufacturing equipment mainly include NAURA Tech., Advanced Micro, SMEE, Piotech, Hwatsing Tech., etc. This report primarily discusses the development of China's semiconductor industry, emphasizing key equipment used in thin-film deposition, etching, and photolithography.

TABLE OF CONTENTS

1. Thin Film Deposition Equipment

2. Etching Equipment

3. Photolithography Equipment

4. TRI's View

Have a question?
Picture

Jeroen Van Heghe

Manager - EMEA

+32-2-535-7543

Picture

Christine Sirois

Manager - Americas

+1-860-674-8796

Questions? Please give us a call or visit the contact form.
Hi, how can we help?
Contact us!